Welcome![Sign In][Sign Up]
Location:
Search - fft vhdl

Search list

[VHDL-FPGA-Verilog1231234

Description: FFT在fpga下实现-FFT in fpga to achieve! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! ! !
Platform: | Size: 157696 | Author: hievery11 | Hits:

[SCMfft

Description: 关于vhdl-FPGA实现fft算法的模块-MATLAB VHDL ADN EDA
Platform: | Size: 1024 | Author: 刘新 | Hits:

[Embeded-SCM Developbutterfly

Description:  计算离散傅里叶变换的一种快速算法,简称FFT。快速傅里叶变换是1965年由J.W.库利和T.W.图基提出的。采用这种算法能使计算机计算离散傅里叶变换所需要的乘法次数大为减少,特别是被变换的抽样点数N越多,FFT算法计算量的节省就越显著。 -Discrete Fourier transform calculation of a fast algorithm, referred to as FFT. Fast Fourier Transform in 1965 by JW Cooley and TW map out Kormakiti. This algorithm enables calculation of discrete Fourier transform computer required a significant reduction in the number of multiplication, in particular by changing the sampling points N more, FFT algorithm for calculating the amount of savings will be significant.
Platform: | Size: 1024 | Author: 圈石 | Hits:

[Algorithm102FFT

Description: 1024点的FFT变换,可以试试还不错的-1024-point FFT transform, you can try is also a good
Platform: | Size: 440320 | Author: 李小虎 | Hits:

[VHDL-FPGA-VerilogFFT_verilog

Description: verilog实现的FFT变换,经硬件测试其功能与Altera的FFT IP核相近-verilog implementation FFT transform, through hardware, test its functionality with Altera' s FFT IP core similar to
Platform: | Size: 618496 | Author: culun | Hits:

[AlgorithmVHDL_FFT_source_code

Description: 基于VHDL的FFT的源代码,包能使用,放心下载。-The FFT-based VHDL source code package can use, secure download.
Platform: | Size: 29696 | Author: 雷一鸣 | Hits:

[Other121114100FFT-IP

Description: 可以实现FFT的变换域的实现,通过点数的确认,可以实现fft变换 -FFT can achieve the realization of the transform domain, through the confirmation point can be achieved fft transform
Platform: | Size: 3111936 | Author: roger | Hits:

[VHDL-FPGA-Verilog1024fft

Description: 使用vhdl实现的1024点的FFT算法-Using vhdl implementation of the 1024-point FFT algorithm
Platform: | Size: 5120 | Author: 谭利民 | Hits:

[VHDL-FPGA-Verilogfft2

Description: 512点8位基2fft程序。基于 vhdl/verilog。已仿真布线通过。-512 points, eight base 2fft program. Based on vhdl/verilog. Simulation layout has been adopted.
Platform: | Size: 20480 | Author: 包鼎华 | Hits:

[AlgorithmFFT

Description: fft reciever and it can also be work as ifft transmitter
Platform: | Size: 23552 | Author: nifrad | Hits:

[VHDL-FPGA-VerilogFFT

Description: fft implementation in fpga using vhdl xilinx
Platform: | Size: 894976 | Author: prabin | Hits:

[OtherFFT

Description: IP核!!高速傅立叶变换的VHDL源代码 可以综合-IP core! ! High-speed Fourier transform of the VHDL source code can be integrated!!
Platform: | Size: 31744 | Author: 殷桃 | Hits:

[OtherNewFolder

Description: 32 bit FFT implementation
Platform: | Size: 27648 | Author: prashanthi | Hits:

[Communication-Mobilefft_ifft_vhdl_codes

Description: this will give details of fft and ifft implementation in vhdl codes, and then on fpga chip
Platform: | Size: 3760128 | Author: ARUN AGARWAL | Hits:

[VHDL-FPGA-Verilogfftandifft

Description: this is a code in VHDL for FFt and its inverse. also the programs are given in matlab
Platform: | Size: 29696 | Author: mimi | Hits:

[VHDL-FPGA-VerilogFFT_Implementation_in_FPGA

Description: This book is ERICSSON documentation "FFT, REALIZATION AND IMPLEMENTATION IN FPGA". Book includes some theoretical information about FFT Radix-2 and Radix-4, and also VHDL and Matlab code.
Platform: | Size: 297984 | Author: Anta | Hits:

[VHDL-FPGA-VerilogFFT

Description: Verilog实现的FFT模块,供OFDM调制系统使用,可供大家参考学习-Verilog implementation FFT module for the OFDM modulation system used for your reference study
Platform: | Size: 1222656 | Author: 梁贵轩 | Hits:

[Software EngineeringTheResearchoftherealtimesignalprocessingofSARbased

Description: 3.完成系统的FPGA程序开发与调试,主要包括FFT,IFFT,CMUL和转置 存储控制等模块,在此基础上,重点介绍了一种基于DDR SDRAM的行写行读高 效转置存储算法,在采用该算法进行转置存储操作时,读写两端的速度相匹配, 满足流水线操作要求,提高了整个系统的实时性。最后介绍了采用CORDIC算法 实现复图像求模运算的方法,分析了算法的硬件实现结构,并给出了基于FPGA 的实现方法及仿真结果。-he FPGA s development and debugging are implemented,including FFT, IFFT, CMUL and C0ntrol of CTM.Based Oll this,Implementation of a high efficient corner turn memory arithmetic with writing and reading by row based on DDR SDRAM is introduced.When using CTM with this algorithm,me speed of reading and writing maItches and meets the requirement of pipelined operation.Finally a method of model implementation for complex image based on CORDIC algorithm is introduced.The algorithm’s hardware implementation structure is analysed, and implementation methodology and simulation results are given
Platform: | Size: 5155840 | Author: mabeibei | Hits:

[VHDL-FPGA-Verilog64pointFFTR2MDC

Description: 该工程实现了一个64点DIF FFT,verilog编写,采用R2MDC结构,通过Modelsim功能仿真,压缩包里有rtl代码,dc脚本,输出报告。-The project implements a 64-point DIF FFT, verilog compiled by R2MDC structure, through the Modelsim functional simulation, compression bag with rtl code, dc script, the output report.
Platform: | Size: 672768 | Author: ShuChen | Hits:

[OtherFFTVHDL

Description: fft正反变换源程序代码,经过编译可以直接用-fft fpga vhdl
Platform: | Size: 275456 | Author: 孙虎 | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 20 »

CodeBus www.codebus.net